Conversor analogico digital flash ( paralelo )


A continuación se muestra el diagrama básico de un conversor analógico digital ADC tipo flash también conocido como ADC tipo paralelo.
Circuito conversor analogico digital adc flash ( paralelo )
Donde Vin es el voltaje de entrada que debe ser una señal unipolar positiva y Vref es un voltaje positivo que debe ir de acuerdo al máximo valor que tenga el voltaje de entrada Vin.
Funcionamiento
La base de un convertidor ADC flash son los comparadores analógicos, básicamente se toma el voltaje analógico de entrada y se compara con diferentes referencias de voltaje (esto se logra usando divisores de voltaje mediante resistencias). En vista de que varios comparadores tendrán el mismo valor de salida al mismo tiempo ya sea VOH (voltaje de saturación positivo) o VOL (voltaje de saturación negativo) se usa típicamente un decodificador de prioridad para corregir la salida y tener una correcta conversión analógica digital. La mayor ventaja del conversor paralelo es el tiempo de conversión que es muy bajo, la conversión es casi instantánea pero tiene la desventaja de que la cantidad de amplificadores operacionales que debe usar varía de acuerdo al valor de bits que se quiera tener a la salida, la ecuación es la siguiente:
Donde n es la cantidad de bits, se puede ver que si se quiere tener una conversión AD de 4 bits se deben usar 15 amplificadores y si se quiere tener una conversión AD de 8 bits se deben usar 255 amplificadores!!! sin contar los codificadores de prioridad, de ahí que este tipo de conversor AD se use en casos específicos como es el tratamiento de señales de video. A continuación se muestran dos conversores ADC flash de 3 bits, en el primero se usa el codificador de prioridad 74HC148 y en el segundo se usa el codificador de prioridad CD4532.

Descarga la simulación en proteus 7.9 de Conversor analógico digital flash (paralelo) de 3 bits aquí.
Descarga la simulación en proteus 8.3 de Conversor analógico digital flash (paralelo) de 3 bits aquí.

Circuito ADC flash de 3 bits con codificador de prioridad 74hc148

Circuito ADC flash de 3 bits con codificador de prioridad cd4532

Tenga en cuenta
- Vin es una señal analógica que debe ser una señal entre 5 voltios y cero voltios, en la simulación Vin es una señal seno de 2 voltios pico con un nivel offset de 2.5 voltios a una frecuencia de 1Hz, se puede reemplazar por un potenciómetro conectado entre V+ (5 voltios) y tierra (0 voltios) 
- En el circuito Vref tiene un valor de 5 voltios esto con el fin de que el conversor sea lo más simple posible.
- Vsonda es la reconstrucción de la señal analógica de entrada a partir de la salida del conversor analógico digital. Principalmente se usa para verificar el correcto funcionamiento del conversor.
- El fin de estos circuitos es didáctico con el fin de poder observar cómo funcionan los conversores analógicos digitales. En la práctica los conversores ADC vienen completamente integrados y en circuitos programables (microcontroladores, DSPs, FPGAs y CPLDs) son uno de los muchos módulos que estos tienen. 
-Ambos circuitos dan básicamente la misma respuesta. La simulación es la siguiente:
La línea de color amarilla es la señal de entrada Vin y la línea de color azul es la señal Vsonda que es la reconstrucción de la señal analógica de entrada a partir de la salida binaria del conversor AD.


Comentarios